茶碗厂家
免费服务热线

Free service

hotline

010-00000000
茶碗厂家
热门搜索:
产品介绍
当前位置:首页 > 产品介绍

国内半导体设备先天不足后天奋起似的

发布时间:2021-07-11 07:25:04 阅读: 来源:茶碗厂家
国内半导体设备先天不足后天奋起似的

国内半导体设备:先天不足,后天奋起

中芯国际创始人张汝京对我国集成电路产业曾有过这样的点评,“我国产业发展最薄弱的环节就是材料和设备,但这也意味着市场机遇。”经过长期发展,我国企业在应用、封装测试领域已发展到全球领先,拥有了完整的终端产业链,但在产业链前端环节非常薄弱。关于材料以及封装 ,在前文都有提及,感兴趣的朋友可以去看一下。这一篇文章,我们将着重来说设备。

操作灵活本文引用地址:

半导体设备是半导体产业最为重要的一环,是生产部门不可或缺的生产资料。从半导体产业链中可以看出,无论是上游设计制造,还是下游封装测试,几乎每一个产业环节都需要相关设备的投入。半导体设备主要应用于ic制造(前端设备)、ic封测(后道设备)两大领域。

其中,ic制造设备又包括制造设备和加工设备。其中制造设备主要由硅片厂(如sumco、金瑞泓、上海新昇)进行采购,最终产品为硅片;晶圆加工设备主要由代工厂(foundry,如台积电、中芯国际、上海长虹)或idm企业(如intel、samsung)进行采购,最终产品为芯片;ic封测设备通常由专门的封测厂(如日月光、amkor、长电科技)进行采购,包括拣选、测试、贴片、键合等多个环节。

半导体设备格局一览

据gartner统计,全球规模以上晶圆制造设备商共计58家,其中日本的企业最多,达到 21 家,占36%,其次是欧洲13家、北美10家、韩国7家,而中国大陆仅4家,分别是上海盛美、上海中微、mattson(亦庄国投收购)和北方华创,占比不到7%。

从半导体设备需求端来看,近几年销售额前三大地区分别是韩国、中国台湾和大陆。从半导体设备销售额情况看,从2014 年开始,北美半导体设备投资逐年减少,日本基本维持稳定,整个半导体制造的产能转移到了韩国、中国台湾和大陆三地。另外,从这三个地区市场份额占比来看,中国大陆买家买下了全球 15%的半导体设备,市场份额提升了近一倍,且一直处于稳步上升的状态。

图:2017全球半导体设备市场规模(单位:十亿美元)

从供给侧来看,半导体设备是一个高度垄断的市场。根据各细分设备市场占有率统计数据,在光刻机、pvd、刻蚀机、氧化/扩散设备上,前三家设备商的总市占率都达 90%以上,而且行业龙头都能占据一半左右的市场,所以,要想在半导体装备市场中分一杯羹,就必须在细分领域能够做到全球前三。

美国处于领先地位

来自semi的最新数据显示,北美半导体设备制造商3月出货电子万能实验机安装软件特点:金额为24.2亿美元,比2月微增0.4%,年增16.7%,创17年来新高。这主要得益于近两年内存及晶圆代工投资持续带动。

美国半导体设备的发展起源于二战后期,由于军用计算机的带动,造就了最初的半导体产业,在之后的二三十年中,美国半导体产业稳步发展,奠定了其半导体设备行业的坚实基础。

来自北美的设备商主要包括:应用材料,泰瑞达、axcelis technologies,kla-tencor,lam research,kulicke & soffa、nanometrics,rave,rudolph technologies,ultratech,ushio等。

虽然在所有半导体设备厂商和市场中,美国跟随在日本和欧洲之后,处于第三的位置。但就晶圆处理设备而言,其实力非常强劲,在全球晶圆处理设备供应商前5名中,美国就占据了3席,分别是排名第一的应用材料(amat),市占率19%左右;第二的lam research,市占率13%左右;以及排名第5的kla-tencor,市占率6%左右。

具体而言,晶圆处理设备中,几个主要工序的设备也都基本处于行业龙头的高度垄断之中。其中,在pvd领域,应用材料公司占据了近 85%的市场份额,cvd占30%;刻蚀设备方面,lam research最多,市占率达53%,而kla-tencor在半导体光学检测领域,全球市占居冠。在各个领域中,前三大巨头的市场份额相加均超过70%,整个市场呈现强者恒强、高度垄断的状态。

应用材料可以说是全球最大的半导体设备公司了,产品横跨c塑料拉力实验机和金属拉力实验机二者有区分吗?如果同时丈量塑料产品vd、 pvd、刻蚀、cmp、rtp等除光刻机外的几乎所有半导体设备。应用材料2017财年营收为145.3亿美元,其中,半导体设备收入95.2亿美元。

半导体设备行业技术壁垒非常高,随着制程越来越先进,对半导体设备的性能和稳定性提出了越来越高的要求,需要投入大量的研发资金。应用材料公司一直保持着在研发上的高投入,其30%的员工为往油座里注满专业研发人员,拥有近12000 项专利,平均每天申请4个以上的新专利。正是这种持续的高研发投入,促成了应用材料的内部创新,构成了较高的技术壁垒,使其自1992年以来一直保持着世界最大半导体设备公司的地位。

中国市场现状

据semi预测,2018年中国的设备销售增长率将创新高,为49.3%,达到113亿美元,中国大陆将紧随韩国,成为世界第二大半导体设备需求市场。

图:中国大陆半导体设备市场规模(单位:十亿美元)

2017~2020年,中国大陆将有26座新晶圆厂投产,成为全球新建晶圆厂最积极的地区,整个投资计划占全球新建晶圆厂高达 42%,成为全球新建投资最大的地区。目前,中国12英寸晶圆厂共有22座,其中在建11座,规划中1座;8英寸晶圆厂18座,其中在建5座。

在这样大兴土木的行业背景下,对半导体设备的需求和投资必然巨大。粗略计算,已经公布的半导体产线投资金额将超过1000亿美元。按照行业规律,在总投资中80%用于设备投资,从而可计算出设备投资额为800 亿美元。

在晶圆厂设备构成中,光刻机占比最大,占39%,其次是沉积设备,占比为 24%,刻蚀设备第三,占比为14%,材料制备占比8%,表面处理设备和安装设备分别占比2%,其他设备占比11%。

据此,可以计算出,年国内集成电路光刻设备市场空间为312亿美元,沉积设备市场空间为192亿美元,刻蚀设备市场空间为112亿美元,材料制备设备市场空间为64亿美元。

我国与先进国际水平相比仍然存在较大差距

未来几年,我国对半导体设备的需求量巨大。中国半导体设备企业虽然在近年内呈现出了高增长态势,但是毕竟发展时间有限,与美、日等国家比起来还是存在明显差距。

2008 年之前我国半导体设备基本全靠进口,因此国家设立了国家科技重大专项——极大规模集成电路制造装备及成套工艺科技项目(简称 02专项)研发国产化设备。但是,由于设备制造对技术和资金需求要求比较高,只有北方华创、中微半导体、上海微电子等少数重点企业能够承担 02专项研发工作,整个行业集中度相对较高。

虽然在02专项的支持下,我国半导体设备实现了从无到有,但相比国内庞大的市场规模而言,自给率不足15%。

即使在发展水平相对较高的 ic 封装测试领域,我国与先进国际水平相比仍然存在较大差距。尤其是单晶炉、氧化炉、 cvd 设备、磁摆杆是不是有曲折变形控溅射镀膜设备、 cmp 设备、光刻机、涂布/显影设备、 icp 等离子体刻蚀系统、探针台等设备市场几乎被国外企业所占据。

我国本土半导体设备企业不算少,但总体不强,销售额占比在国内市场还不足15%,在国际市场几乎为 0。究其原因,还是技术上的落后。

目前,国产半导体设备处于局部有所突破另外一个缘由是它们对进料和成品的质量控制进行更加严格的监测,但整体较为落后的状态。尤其与国际半导体设备巨头应用材料、 asml、lam research、kla-tencor等相比,国产半导体设备公司的实力仍然偏弱,绝大部分企业无法达到国际上已经实现量产的10nm工艺,部分企业突破到28nm或14nm工艺,但在使用的稳定性上与国际巨头差距较大,较难大批量进入量产线,也较难进入国际代工巨头的生产线。

虽然我国整体上与国际领先水平存在一定的差距,但也不乏表现相对突出的设备企业,如设备制造龙头北方华创、在刻蚀机领域做出突破的中微半导体、封测领域龙头长川科技、从事高纯工艺系统的至纯科技以及国内单晶生长设备稀缺标的晶盛机电等。

国内知名厂商

本文引用地址:

北方华创

作为国内上市设备公司龙头,涉及设备、真空设备、锂电设备和电子元器件等四大类产品,设备覆盖等离子刻蚀设备、pvd、cvd、氧化炉等生产线核心设备。北方华创的28nm pvd设备已中芯国际生产线投入使用,在14nm制程的刻蚀、退火和cvd的设备也已进入工艺验证阶段,深度受益建厂潮。

中微半导体

于2004年由尹志尧博士代领的海归人才创办,尹志尧博士曾在美国应用材料公司任职13年,专注于等离子体刻蚀设备的研发。中微是国内技术最领先的高端芯片设备企业,也是国家大基金成立后投资的首个企业。其推出的芯片介质刻蚀设备已打入全球顶级企业台积电的7nm、10nm量产线,并占据了中芯国际50%以上的新增采购额。2015年,美国商务部更因中微作提供的“有相当数量和同等质量”的刻蚀机产品,取消对华出口刻蚀设备的限制。公司未来将有望达成跳跃式增长,并有朝一日成长为国内半导体产业发展的一把利剑。

长川科技

始终专注于半导体检测设备领域,主要产品包括检测机和分选机,主要客户包括华天科技、长电科技、士兰微等封测与制造企业,并受到国家大基金入股,封测设备龙头地位得到认可。公司已经掌握了高精度电压电流源控制测量技术、大电流电源高能脉冲控制与测试技术等核心技术,拥有着能与欧美抗衡的技术水平。公司2017年营收1.80亿,同比增长44.86%,归母净利润5,096万,同比增长23.05%,且具有资本平台价值,值得重点关注。

盛美半导体

最初成立于美国硅谷的盛美半导体,在2007年引进国内落地张江,主要生产清洗设备、镀铜设备等产品,其掌握的超声波清洗技术克服了芯片制程发展所带来的工艺困难,产品进入了中芯国际、韩国海力士等知名半导体制造厂商,并获得了“02专项”的扶持。盛美于当地时间2017年11月3日在纳斯达克ipo上市,成为国产设备进军海外市场的一员。

沈阳拓荆

成立于2010年,是由海外技术专家于2010年4月组建的高新技术企业,致力于研究和生产世界领先的极大规模集成电路行业专用薄膜设备,公司已形成12英寸pecvd、ald、3d nand三大系列产品,并且先后两次承担国家科技重大专项,获得国家大基金大力支持。2013年,公司12英寸pecvd通过中芯国际产品线测试,2017年10月,拓荆设备在北京中芯国际量产突破百万move。公司产业化生产基地基地最大产能可达350套设备,年产值约50亿元。

国际知名厂商

一、应用材料

按维基百科,应用材料公司是全球最大的半导体设备和服务供应商。应用材料公司创建于1967年,公司总部位于美国加利福尼亚州圣克拉拉。应用材料公司1984年进入中国,目前在上海,北京,天津,苏州,无锡等地有办事处或仓库,在西安设有太阳能开发中心。

应用材料公司的主要产品为芯片制造相关类产品,例如原子层沉积,物理气相沉积,化学气相沉积,电镀,侵蚀,离子注入,快速热处理,化学机械抛光,测量学和硅片检测等。应用材料公司每年的研究经费达到约10亿美元。

二、asml

阿斯麦公司(台译:艾司摩尔控股公司)asml holding nv(nasdaq:asml、euronext:asml)创立于1984年,前称asm lithography holding n.v.,于2001年改为现用名,是一家半导体设备设计、制造及销售公司。

公司主要从事半导体设备的设计、制造及销售,asml公司主要专精于晶片制造微缩影设备之设计制造与整合,积体电路生产流程中,其关键的制程技术则是微缩影(lithography)技术将电路图影像投射在晶片上之曝光。业务范围遍及全球,生产与研发单位则分别位于美国康乃狄克州、加州,台湾以及荷兰。

阿斯麦公司在世界14个国家和地区有50个子公司和生产据点,主要产品是用来生产大规模集成电路的核心设备光刻机,在世界同类产品中有90%的市占率。

三、tokyo electron

东京电子 ( tokyo electron ,)成立于1963年,为全球第三大半导体设备生产商,提供给半导体与平面显示器产业。

半导体生产设备,包括涂布机、电浆蚀刻系统、热加工系统、单晶片沉积系统、清洗系统,用于生产流程,还提供探针系统。平板显示器生产设备,包括平面显示镀膜机、平面电浆蚀刻,及电浆体化学气相沉积系统用于薄膜矽太阳能电池。

四、lam research

lam research corporation成立于1980年,总部位于美国加州,是一家向全球半导体产业提供制造设备和服务的供应商。

公司主要设计、制造、行销、维修及服务使用于积体电路制造的半导体处理设备,此外,还提供单晶圆清洁技术的多样组合。

五、kla-tencor

科磊半导体(或:科天半导体、美商科磊股份有限公司)kla-tencor corporation(nasdaq:klac)创立于1975年,总部位于美国加州米尔皮塔斯,全职雇员5,880人,是全球前十大ic设备生产厂商,拥有晶圆检测与光罩检测系统。

kla-tencor corporation是一家从事半导体及相关纳米电子产业的设计、制造及行销制程控制和良率管理解决方案商,其产品包括晶片制造、晶圆制造、光罩制造、互补式金属氧化物半导体(cmos)和图像感应器制造、太阳能制造、led制造,资料储存媒体/读写头制造、微电子机械系统制造及通用/实验室应用等。

此外,科磊半导体公司还提供翻新的kla-tencor工具,连同其kt认证计画予客户制造更大的设计规则装置及产品支援服务。公司产品应用于许多其他行业,包括led,资料储存和太阳能等产业,以及一般材料的研究。

六、dns(dainippon screen,迪恩仕)

screen 集团专职研究开发各项半导体设备、液晶生产设备及专业级印刷设备,其集团公司包含全世界共有数十个服务据点,足迹遍及台湾、日本、美国、欧洲、中国大陆、韩国、新加坡等地。

迪恩仕总部位于日本。从印前、印刷及相关设备到电子产业,迪恩士已在各个领域扩大了其业务范围。 在“发展思路”的公司的原则指导下,以核心图像处理技术为杠杆,不断努力开创着新的业务和产品。

迪恩仕现在正在发展和生产印刷领域及世界领先的高科技领域的印刷技术数字化设备,如电子领域的半导体制造设备,fpds (平板显示器)和印刷电路板。

迪恩仕科技提供各领域之半导体晶圆设备,包含洗净、蚀刻、显影/涂布等制程用途,其中洗净设备于半导体业界具有极高之市占率,同时随着半导体制程技术进步不断推陈出新设备产品。

七、advantest

advantest公司1954年成立于日本东京,主要从事大规模集成电路自动测试设备及电子测量仪器的研发、制造、销售和服务。

半个多世纪以来,公司凭借其优秀的经营理念和尖端的技术,已成为全球最大的集成电力自动测试设备供应商之一,并在美国、欧洲、亚洲成立了多个子公司,就近向半导体行业提供完善的整体解决方案,及一流的售后服务。

advantest公司的产品主要分为集成电路自动测试设备和电子测量仪器两大部分。集成电路自动测试设备的产品包裹soc测试系统、memory测试系统、混合信号测试系统、lcd driver测试系统、动态机械手等; 电子测量仪器产品则包括频谱分析仪、络分析仪等。近二十年来,作为半导体测试设备行业的领军企业,advantest公司的产品销售额和市场占有率在全球同行业中的排名一直数一数二,并在近几年ate测试设备的市场份额最新排名中依然荣居榜首。

八、teradyne

美商泰瑞达teradyne, inc.(nyse:ter)创立于1960年,总部位于美国马萨诸塞州north reading,全职雇员3,900人,是一家生产电子与通讯产品所需的自动化测试器材与相关软件的自动测试设备公司。

美商泰瑞达(teradyne)是一家自动测试机台的制造商(automatic test equipment,ate),产品包括半导体测试系统、电路板与线与路所需的软件,2005年,泰瑞达公司在系统整合芯片的元件测试市场中,市占率最高。

国内外知名企业如motorola, philips semiconductor, texas instrument, cisco, 3com,中芯国际,chippac, 华为,贝岭等皆为公司客户。

九、hitachi high-technologies

日立全球先端科技(hht,)为全球半导体设备大厂。主要产品包括半导体设备、电子显微镜、液晶面板相关设备,fpd设备包括包括array、cell、module、彩色滤光片之制程设备,包含玻璃基板表面检查设备、曝光机、湿制程设备..等及医疗分析设备。

公司还提供钢制品、非铁金属产品、综合性树脂产品、光通讯材料、石油化学产品等工业材料。

十、尼康

nikon ()成立于1917年,是总部设在日本东京,主要分四个事业领域,分别精密设备公司、映像公司、仪器公司及其他(包括cmp装置事业、测量机事业、望远镜事业等)。

精密设备事业部是提供积体电路曝光机和扫描仪,用于在大规模积体电路制造;影像产品事业部提供的数位相机、胶卷相机及零件,包括可互换镜头、闪光灯、胶片扫描仪等;仪器事业部提供显微镜、测量仪器、半导体检测设备。 其他还有提供运动光学产品,如望远镜、单筒/双筒望远镜、雷射测距仪等。

什么原因会导致勃起障碍
锁阳固精丸适合什么人
孩子积食呕吐怎么办